Open side-bar Menu
 What's PR got to do with it?

Posts Tagged ‘Atrenta’

Who’s getting hit by the double whammy of verification?

Thursday, October 25th, 2012

 

Piyush Sancheti

In a recent article written by EDA industry watcher Ann Steffora Mutschler, Atrenta’s VP of Product Marketing Piyush Sancheti pointed to the curse of the verification double whammy for engineers:

“For verification engineers and for designers, this is a double whammy,” noted Piyush Sancheti, vice president of product marketing at Atrenta. “If you ask a digital design or digital verification team, they will tell you that low-power design and the introduction of analog/mixed-signal components on what used to be a simple digital chip is a significant verification challenge. For verification engineers what this means is your finite state machines or your control logic just got that much more complicated. If you go from 2 domains to 20 domains, your verification complexity just increased an order of magnitude.”

We caught up with Piyush in the Atrenta hallway and asked him to elaborate on his statement.  Here’s what he said:

Ed:   So what is the double whammy and why should we care?

Piyush: With the onset of A/MS and low power requirements, digital design teams now have to contend with two new foreign entries to their previous monolithic design environment.

Ed:  And they are…?

Piyush:  New logic blocks that are completely foreign to digital designers and the implementation of power management techniques like power & voltage domains. Voltage domains allow the timing critical portions of the design at a higher voltage (overdrive), and the rest at a lower voltage (underdrive). Power domains, on the other hand, allow one to turn off the power on entire blocks of the design when not in use.

Ed:  Haven’t digital designers always needed to be conscious and conscientious about power?

Piyush:  Not to the extent they must be these days.    Here’s the challenge – say you are designing a chip for a smart phone.  When you are watching a YouTube video, you don’t need the phone function, so you want to make sure that the phone functions are off.  What’s the result?  You’re saving power, or in consumer terms, preserving battery life.   But, if the smart phone gets a call, you have to be sure the phone function turns on instantly, without adversely impacting your video viewing experience.  So designers have to make sure the domains turn off and on in perfect harmony, almost like conducting a symphony.

So what’s the problem?  New power management logic that designers are not used to has been thrust on them rapidly and recently.  They need to get up to speed fast.  This is not an easy job. Not only that, but you now have very complex finite state machines that switch these functions on and off seamlessly.

Ed:  So what’s the solution?

Piyush:   A comprehensive methodology for functional and structural verification.

Ed:  Can you elaborate?

Piyush:  These complex finite state machines must be verified exhaustively for functional correctness. You need to make sure that the various functions on your smart phone wake up and shut off in a timely manner without adversely impacting the device behavior, and ultimately the user experience. With structural verification you need to make sure that the perimeter of the voltage and power domains are properly secured. When you have signals crossing one voltage domain to another, you need voltage level shifters. Similarly, you need isolation logic between power domains, to ensure that signals don’t float to unknown values when a domain is powered off.

Ed:  So what sort of tools and methodologies do you see out there to meet the double whammy challenge?

Piyush:  Well, of course, I’m most familiar with the Atrenta platform.   There are undoubtedly other ways to go about this job.  But from what I see, SpyGlass Power is being used by many large chip and system companies for static signoff of power and voltage domains. SpyGlass Advanced Lint enables exhaustive finite state machine verification using formal techniques. And with our recent acquisition of NextOp Software, we now have BugScope to ensure dynamic verification (simulation) is covering all the corner cases that are now part of your design because of this increased complexity.

Ed:  So your final words of wisdom?

Piyush:  Verification of modern day SoC designs is a daunting task. But like any complex problem a systematic approach using a combination of static and dynamic verification techniques will help you reach your device ambitions faster.

 

 

 

–        Note:  Lee PR does work for Atrenta.

On Power Awareness in RTL design analysis: Update to a Brian Bailey-designated Top Ten Atrenta article

Wednesday, October 10th, 2012

 

Narayana Koduri’s article on Power Awareness in RTL Design Analysis was the second of two Atrenta articles that EE Times editor Brian Bailey named as the ten most-read contributed articles published in EDA Designline.    Along with number one article Understanding Clock Domain Issues  by Saurabh Verma and Ashima Dabare, Atrenta appears to be the only company with two articles in this top ten list.

So even though his article appeared in July 2012, we asked Narayana to give us an update on what he sees as the power awareness challenges.  Here’s what he had to say.

Ed:  Narayana,   your article sure addressed a hot topic in SoC design. And judging by the readers you got, the design community liked what you had to say.  What can you add to your July 2012 article?

Narayana:  Thanks Ed.   From what I can see, due to aggressive low power requirements, power domains are being implemented in a growing number of SoC designs to reduce both leakage and dynamic power.

Ed:  How so?

Narayana:  UPF or CPF can be used to define the power intent to capture information such as power domains, level shifter requirements, isolation cells, retention cells, power switch cells, etc. These specifications will help implementation tools and verification tools to deal with the power intent properly.

Ed:  So how best to deal with power intent?

Narayana:   RTL tools that verify aspects of the SoC such as clock domain crossings, testability, timing and routing congestion need to be aware of the power intent. If not, the verification is not complete and this may lead to design failures or a costly re-spin of the design. This need for power-aware verification is driving new requirements in the EDA tool flow.

NOTE:  For an update on Understanding Clock Domain Issues see our blog of October 3.

 

 

 

 

Note:  Lee PR does work for Atrenta

 

Top article on EE Times: authors give an update to CDC challenges

Wednesday, October 3rd, 2012

Ashima Dabare

Saurabh Verma

 

 

 

 

 

 

 

On the heels of EE Times editor Brian Bailey naming their article “Understanding clock domain issues” the number one article on EDA Designline, we checked in with authors Saurabh Verma and Ashima Dabare on what they see as developments and new challenges since they wrote their 2007 article. Here’s what they said.

Ed: It appears that your article got twice the number of views as the number two article. Congratulations on the EE Times recognition!

Obviously, CDC was an important design issue in 2007 and it certainly is today. What would you say to designers today?

Ashima: CDC design is evolving and so are the synchronization techniques and verification tools. Since we have written this article we have witnessed new challenges posed to CDC verification tools.

One that comes to mind is evolving synchronization styles. In addition to clever variations of synchronization techniques introduced by designers trying to meet their design objective or schedule, new architectures such as those required for a network on a chip (NoC) have been introduced which in turn require verification tools to re-invent themselves.

Recently CDC tools have introduced generic synchronization verification techniques that do not rely on the structure of the synchronizer and analyze clock domain crossings at the protocol level allowing them to better recognize synchronizers, reduce “noise” and improve root cause analysis.

Saurabh: Also, global chip design dictates blocks and IPs to be designed in various geographical locations. The person doing CDC verification is rarely the designer. CDC verification tools are now challenged with providing root-cause analysis of CDC problems to people who have little knowledge of the block.

I also see as a fact that design size is fast growing and so are the number of clocks and clock domains. Combined with the move toward global chip development, flat CDC verification of large SoCs would be a painful exercise where bugs can easily slip through.

The divide and conquer approach seems to be the best possible approach. To begin with, the lower level blocks should be analyzed and CDC issues, if any, should be fixed at the block level itself. Once all the individual blocks are CDC clean, their abstract models can be plugged in and the complete design can be analyzed for CDC issues at the interconnect level.

Ed: So how would you sum up what CDC design needs in 2012?

Ashima: With the ever increasing complexity of design styles, robust CDC verification is indispensable to enable successful chips in the first silicon attempt!

 

Note:  as near as we can tell, Atrenta is the only company to place two articles in Bailey’s top ten. Narayana Koduri’s Power awareness in RTL design analysis came in as ninth most read. We’ll catch up with him next week, so stay tuned.

 

 

Note: Lee PR does work for Atrenta

Why should we care about IP quality?

Monday, September 10th, 2012

Piyush Sancheti

 

 

Why can 3rd party IP impede a design getting to tapeout?  Why is IP reuse costing design projects more time and effort?  And what can we do about it?  Piyush Sancheti, VP of Product Marketing at Atrenta,  explores these issues and answers some of these questions in the viewpoint below on the GSA IP Working Group blog:

 

http://www.gsaglobal.org/2012/08/general-working-group-activity-recruiting-meeting-locations-updates-agendas/

 

Lee PR does work for Atrenta

Ajoy Bose and Jim Hogan on the systems customers now defining SoC design

Tuesday, September 4th, 2012

 

Jim Hogan

Ajoy Bose

 

 

 

 

 

 

 

Atrenta CEO Ajoy Bose and EDA visionary and investor Jim Hogan spoke at a recent National Institute of Technology (NIT) meeting on the momentous changes we see in who controls chip design these days. Clearly, systems companies like Apple define – even dictate – what they want from their silicon vendors..and these systems customers certainly want a lot more than they did ten years ago.

Jim tells us why we have to care:

Video Part 1

Video Part 2

Power Point Presentation

Ajoy shows us how to care:

Video Part 1

Video Part 2

Power Point Presentation

 

 

 

 

 

Lee PR does work for Atrenta

Renesas on SpyGlass Physical

Monday, August 20th, 2012

 

 

Yasushi Ozaki, Director of Engineering Department overseeing product design and Development, at Renesas, spoke at the Atrenta Technology Forum First inYokohama.  This is Tech-On’s coverage of his presentation and his evaluation of SpyGlass Physical, which is an EDA tool for estimating chip area and logic depth at the RTL stage:

http://www.nikkeibp.co.jp/article/news/20120720/316569/

 

 

 

 

Lee PR does work for Atrenta

 

NextOp and Springsoft…..Mike Demler wraps it up for us

Wednesday, August 8th, 2012

We’ve heard from Jim Hogan and Gary Smith on recent acquisitions.  Now industry analyst Mike Demler weighs in.

Ed:   What does the Atrenta acquisition of NextOp and the Synopsys acquisition of Springsoft mean to EDA?

Mike:  It probably goes without saying that these two acquisitions are very different, both in their objectives and impact on the industry. The bottom line on the NextOp acquisition is that it represents strategic maneuvering by Atrenta as they attempt to emerge from their 10-year gestation period, which is generally the limit for VC-funded startups. I provide a more detailed analysis in an Analysis Brief, which is available from the EE Daily News.

The Synopsys-Springsoft acquisition may finally fill the hole in analog/custom implementation that Synopsys has had. When the Laker tools came on the landscape, they immediately gave Cadence some competition for Virtuoso. Synopsys has never been able to accomplish that with Galaxy Custom Designer, nor its predecessor (Cosmos).

Ed:  What sort of new day does it herald for EDA?

Mike:  As far as meaning to the EDA industry overall, again there are two different answers. For Atrenta-NextOp, this serves as a bellwether for the entire group of ~10-year old EDA startups. What are their exit strategies?

For Synopsys-Springsoft, the answer is more complex, and goes beyond the immediate impact in the analog/custom design space. With ~$1B in acquisitions in less than a year, Synopsys is looking more and more like a huge EDA conglomerate. They are separating themselves further from the 2nd and 3rd place companies, at least in terms of size. The industry dynamics will inevitably change as a result.

Ed:  What’s the significance?

Mike:  In a nutshell – the EDA industry continues to shrink. Acquisitions mean lost jobs. With 10-years or more now the norm to grow an EDA company, other industries look more attractive, both for capital investment and for skilled engineers.

 

 

Lee PR does work for Atrenta

Gary Smith on NextOp, now Springsoft

Tuesday, August 7th, 2012

Yesterday we heard from Jim Hogan on the NextOp acquisition.  Today Gary Smith chimes in on NextOp and the recent Springsoft buyout.

Ed:  What do the Atrenta acquisition of NextOp and the Synopsys acquisition of Springsoft mean to EDA?

Gary:  Technology wise the Atrenta acquisition means that the Silicon Virtual Prototype is becoming a reality.  Business wise it could be the start of the roll-up in the middle.

Springsoft was always a possible roller-upper but generally thought of as a long shot because of theirTaiwanheadquarters.  Springsoft certainly makes Synopsys stronger, especially with the Laker analog product, but doesn’t affect the SVP or the RTL sign-off tool market.  Debug is just being rolled up into the simulator.

Ed:  What sort of new day does it herald for EDA?

Gary:  With the creation of the SVP we now have the RTL sign-off established. This then is the breakpoint between design and implementation, just as the gate-level netlist was in the past.  This will free up a large group of designers, and enable a new larger group of designers, which in-turn will cause the explosion of new systems development.

Ed: What’s the significance?

Gary:  Growth, opportunity, money; the usual stuff.

 

 

 

 

Lee PR does work for Atrenta

 

 

 

Hogan on Atrenta’s grab

Monday, August 6th, 2012

 

We’ve seen several acquisitions in the past month or so…and wanted to get a sense of what these purchases might or might not indicate about where EDA is going.   So we went to the premier visionary and investor to get his take on how the EDA world will be affected by this apparent consolidation.

Ed:  What do these acquisitions over the past month or so mean to EDA?

Jim:    I like the Atrenta NextOp acquisition for several of points of view.  In my world mergers are successful in EDA if:

1. there is no product overlap

2. the sales channel can immediately sell the product.  Usually this means that the AEs support it or at least will be product within 30 days of purchase.

There is a ton of synergy with the Atrenta sales channel. This is important to ensure the ROI is met, typically a two to three year process.

3. customers support the merger.  In other words, they see that the product is going to be continued to be supported with R&D and AEs.

4. the team remains at least two years to ensure intellectual property transition. In the case of Atrenta and NextOp,  I believe all conditions are being met.  Thus I expect a successful integration of NextOp and ROI.

In addition it speaks to Atrenta’s forward progress to being an IPO candidate.  One issue for EDA is that companies exiting over the last ten years have been through acquisition.     If we can see an IPO of a well-run and well-performing company, it attracts the attention of shareholders but also ensures an exit other than acquisition for other EDA companies. This will attract investors and thus we’ll see startups funded.  This is a win-win for the entire ecosphere including customers.

I believe one of the key ingredients in an EDA company going public  besides top line revenue of greater than $50m with 25% CAGR and margin of $10m or greater  is the ability of the management team to acquire and integrate complementary startups.  With Atrenta acquiring NextOp, I believe they are on their way.

Good luck to them because their IPO will be good for EDA by bringing excitement and notice to the sector.

 

 

 

Lee PR does work for Atrenta

 

The dawn of a new business day for EDA?

Monday, July 30th, 2012

Mike Gianfagna, VP of Corporate Marketing

 

 

 

 

 

With Atrenta’s acquisition of NextOp concluded and the corporate and technology integration going forward, we checked in with Atrenta’s Mike Gianfagna about what this means for the industry.   Dawn of a new business day for EDA?

 

Ed:  It’s been about a month now since Atrenta bought NextOp.   What has to happen now?

Mike:  The fanfare is waning.  The news has been reported and analyzed.  The two company’s web sites are one. And now the real work begins as we integrate NextOp technology with Atrenta technology.

Ed:   So what does all this mean?

Mike:  For Atrenta, it means accelerated growth in the SoC Realization market. We can now address design and verification challenges at RTL and above. For our customers, this will mean improved schedule predictability and lower cost.

Ed:   So now you add functional verification to the RTL platform for SoC design, right?

Mike:   Actually, NextOp’s technology goes beyond functional verification of SoCs.  It also helps with IP qualification and IP reuse – very important focus areas for Atrenta. This technology will improve the completeness and effectiveness of our IP Kit.

Customers will get the previous benefits of early analysis coupled with  functional verification – an area that continues to be very time consuming, expensive and somewhat unpredictable.

Ed:  So what does this mean to the EDA industry?

Mike:   I hope it has a positive impact on the industry as well. EDA has been stagnant for too long. The same customers buying the same tools from the same vendors. It’s time to shake things up a bit.  It’s time for new methodologies, new approaches, new business models and more positive exits for all those hard-working people at private EDA companies.  Can Atrenta’s acquisition of NextOp contribute to this trend in some meaningful way?  I certainly hope so.

 

NOTE:  Lee PR does work for Atrenta.




© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
TechJobsCafe - Technical Jobs and Resumes EDACafe - Electronic Design Automation GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise