Open side-bar Menu
 EDACafe Editorial
Roberto Frazzoli
Roberto Frazzoli
Roberto Frazzoli is a contributing editor to EDACafe. His interests as a technology journalist focus on the semiconductor ecosystem in all its aspects. Roberto started covering electronics in 1987. His weekly contribution to EDACafe started in early 2019.

Cutting cloud costs with Exotanium

 
May 13th, 2022 by Roberto Frazzoli

Saving up to 90% by leveraging the cloud ‘spot market’ and avoiding overprovisioning: that’s the promise of Exotanium, a startup enabling users to benefit from Live Virtual Machine Migration – even with stateful workloads – in a transparent way and without interruption

Chip design teams are increasingly resorting to cloud computing, mostly as a way to reduce time-to-market. Running the EDA tools in the cloud, however, can prove extremely expensive, and skyrocketing cloud bills may prevent users from extending the benefits of cloud computing to a larger number of designs. A startup called Exotanium is now offering new solutions to optimize cloud costs, promising savings up to 90%. Cost reduction is obtained by taking advantage, as much as possible, of the cheapest cloud resources (the ones offered through the so-called “spot market”) and by avoiding overprovisioning (that is, paying for cloud resources that are larger in capacity than needed). These achievements were made possible by technologies originally developed at Cornell University (Ithaca, New York). Hakim Weatherspoon, CEO of Exotanium, described the company’s solutions in the video interview he recently gave to EDACafe’s Sanjay Gangal; in this article we will add a few details, as well as the answers provided by Rohan Prakash – Exotanium’s Senior Business Development Manager – to some additional questions.

Read the rest of Cutting cloud costs with Exotanium

Geopolitical issues; controversy over deep learning in EDA; high-NA EUV advancements; new RGB display

 
May 5th, 2022 by Roberto Frazzoli

Politicians around the world are getting increasingly involved in initiatives aimed at boosting or protecting their countries’ competitiveness in the semiconductor market. This is why ‘geopolitical’ issues make up a significant part of this week’s news round-up. Other updates concern the controversial Google paper on deep learning-based chip block placing, high-NA EUV advancements, and more.

Geopolitical issues: Arm IPO, Indian investments, Alphawave-OpenFive deal

UK prime minister Boris Johnson has reportedly joined a final push to convince Arm – which is currently preparing its IPO – to list on the London stock exchange, as the UK government is concerned over the damage if Britain’s best-known tech company chooses New York for its initial public offering. SoftBank chief executive Masayoshi Son, however, has reportedly described New York’s Nasdaq exchange as “the most suitable” as it is “at the center of global high-tech”.

Read the rest of Geopolitical issues; controversy over deep learning in EDA; high-NA EUV advancements; new RGB display

Cadence’s CFD suite; cloud-based DFM analysis; Applied Material innovations; Arm IoT solutions; ECU virtualization; paper-thin loudspeakers

 
April 28th, 2022 by Roberto Frazzoli

As we saw last week in our special report on EDA startups, deep learning acceleration startups are more numerous and are getting much more funding. It’s therefore interesting to read the following comment by processor analyst Linley Gwennap: “Well-funded startups, including several unicorns, have been unable to demonstrate any advantage over Nvidia’s Ampere products, much less the upcoming Hopper generation. (…) Cerebras, Groq, and SambaNova, along with leading Chinese startups Enflame and Iluvatar, are in production but have published few or no benchmarks, probably owing to some combination of deficient hardware and unoptimized software. Of the best-funded AI-chip startups, only Graphcore has provided official MLPerf results, falling well short of Ampere in per-chip performance and power efficiency.” Let’s now move to this week’s news round-up, catching up on some of the updates from the last twenty days or so.

Cadence launches a computational fluid dynamics software suite

Cadence has recently introduced its Fidelity CFD Software, a suite of computational fluid dynamics solutions for multiple markets, including automotive, turbomachinery, marine, aerospace and others. The suite builds upon the expertise and technology that Cadence has gained from the Numeca and Pointwise acquisitions.

Read the rest of Cadence’s CFD suite; cloud-based DFM analysis; Applied Material innovations; Arm IoT solutions; ECU virtualization; paper-thin loudspeakers

Special report: EDA startups in the “silicon renaissance” era

 
April 21st, 2022 by Roberto Frazzoli

Despite the booming semiconductor industry, the number of new EDA startups is smaller than one would expect. Is the EDA industry’s status quo hindering innovation? Is venture capital overlooking EDA? We asked these and other questions to industry veterans (Lucio Lanza, Wally Rhines, Alberto Sangiovanni-Vincentelli) and startuppers (Chouki Aktouf, Keshav Amla)

“EDA, where electronics begins”: this was the title of a video produced in 2002 by the EDA Consortium (now Electronic System Design Alliance). The motto literally holds true today, in the so-called “semiconductor renaissance” era – an expression alluding not only to record high chip revenues and fab investments, but also to the dozens of startups developing new processing architectures for deep learning acceleration. In contrast, the number of new EDA startups seems to be smaller than one would expect. Undoubtedly, the current boom of the semiconductor industry is also benefitting the big EDA vendors and the EDA industry as a whole; just see the latest ESDA figures. Still, the relatively small number of EDA startups may raise some concerns, as these nascent companies are usually considered a key indicator of the liveliness and innovation capabilities of hi-tech industries. To shed some light on this matter, EDACafe talked to industry veterans and startuppers, getting interesting answers.

Read the rest of Special report: EDA startups in the “silicon renaissance” era

EDA goes SaaS; UCIe expansion; eFPGAs’ growth; ReRAM advancements; Risc-V; Zoned Storage; MLPerf

 
April 8th, 2022 by Roberto Frazzoli

As the news from Ukraine gets more and more disturbing, the list of Western tech firm that have suspended business operations in Russia gets longer: among them, Intel. Before moving to this week’s tech news round-up, a quick mention of a market forecast concerning datacenters: according to market research firm TrendForce, the penetration rate of Arm architecture in datacenter servers will reach 22% by 2025.

Synopsys launches an EDA cloud SaaS solution

Synopsys has announced a new cloud-optimized EDA deployment model based on a single-source, pay-as-you-go approach. Called Synopsys Cloud, the service provides access to the company’s cloud-optimized design and verification products, with pre-optimized infrastructure on Microsoft Azure. The initiative aims at overcoming the limitations of conventional cloud-based EDA design, such as the difficulties in forecasting compute needs – leading to underestimation – or predefined design and verification capacity of the “bring your own cloud” (BYOC) approach. Synopsys is also working with major foundries to streamline access to required manufacturing collateral for use with its cloud-optimized products.

Read the rest of EDA goes SaaS; UCIe expansion; eFPGAs’ growth; ReRAM advancements; Risc-V; Zoned Storage; MLPerf

Semiconductor revenues in 2021; fab spending forecast; low power displays; DSA advancements

 
April 1st, 2022 by Roberto Frazzoli

A few brief updates before moving to the rest of our weekly news round-up. Korean memory maker SK Hynix is reportedly considering the creation of a consortium to buy Arm. Speaking of memories, Apple is reportedly exploring alternative Flash suppliers for its iPhones, including China-based Yangtze Memory Technologies Co. Moving to the autonomous vehicle scenario, Waymo has started testing fully autonomous operations in San Francisco with Jaguar I-PACE electric cars. This new step comes after years of testing of fully autonomous service in the East Valley of Phoenix.

2021 semiconductor revenues

According to market research firm Omdia, the worldwide semiconductor market surpassed annual revenue of half-a-trillion dollars for the first time ever in 2021, and nearly 60% of companies in the sector grew by more than 20% in revenues. On an annual basis, Intel ranked as the number one semiconductor company in 2021, with revenue at $76.6B, which represented 13% of all semiconductor revenue for the year. Intel’s 2021 revenue growth was nearly flat from 2020, in contrast with the following top nine semiconductor firms which all experienced year-over-year growth above 15%. Omdia points out that the MPU product category – Intel’s core business – grew at just 11% YoY last year, much lower than the total semiconductor growth level of 24%. Samsung finished 2021 just behind Intel, with semiconductor revenue of $75.2B, representing 12.8% of all revenue for the industry. Samsung growth owes to the strong increase in the DRAM and NAND markets (up 42% and 23% respectively in 2021), as the Korean firm is the number one vendor for both product categories.

Source: Omdia

Read the rest of Semiconductor revenues in 2021; fab spending forecast; low power displays; DSA advancements

Nvidia’s innovations; secure design; cloud-based EDA; Risc-V tools; early-stage investments

 
March 25th, 2022 by Roberto Frazzoli

Both Nvidia and Arm are in the news this week, obviously for unrelated reasons after the proposed acquisition deal collapsed. Nvidia keeps introducing impressive innovations at an impressive pace, raising the bar for contenders. Meanwhile, Arm keeps preparing for its IPO – expected to value the company at $60 billion – with SoftBank reportedly planning to pick Goldman Sachs as the lead underwriter.

Nvidia GTC updates

At its recent GTC event, Nvidia introduced a host of new products and innovations mostly targeted at AI-powered data centers; here we will only provide an extremely brief overview. As for GPUs, the company launched its new Hopper architecture, claiming an order of magnitude performance leap over its predecessor Ampere architecture. Nvidia also announced the first Hopper-based GPU, the H100, an 80 billion transistors chip built using a TSMC 4N process, offering nearly 5 terabytes per second of external connectivity and 3 terabytes per second of memory bandwidth. Among the innovations introduced by the H100 is a new Transformer Engine (devoted to the Transformer model for natural language processing); the second generation of the Secure Multi-Instance GPU technology; the fourth generation of NVLink; new DPX instructions to accelerate dynamic programming. A 71-page white paper on the H100 architecture can be downloaded from this web page. As for data center CPUs, Nvidia announced its first Arm Neoverse-based processor, called ‘Grace CPU Superchip’, comprising two CPU chips coherently connected over NVLink-C2C, a new high-speed, low-latency, chip-to-chip interconnect. The device integrates 144 Arm cores, reaching an estimated performance of 740 on the SPECrate 2017_int_base benchmark. Another announcement from the GTC event concerns NVLink-C2C, a chip-to-chip and die-to-die interconnect, open to custom silicon integration. NVLink-C2C enables coherent interconnect bandwidth of 900 gigabytes per second or higher. In addition to it, Nvidia will also support the Universal Chiplet Interconnect Express (UCIe) standard announced earlier this month. Recent updates also include Nvidia reportedly interested in exploring chip manufacturing with Intel Foundry Services.

Nvidia H100. Credit: Nvidia

Read the rest of Nvidia’s innovations; secure design; cloud-based EDA; Risc-V tools; early-stage investments

Intel to invest in Europe; Arm to reportedly cut workforce; SiFive gets more funding; research updates

 
March 17th, 2022 by Roberto Frazzoli

Despite SEMI’s reassuring statement, concerns of a potential neon gas shortage due to the Ukrainian war keep surfacing. According to sources contacted by Reuters, effects on chip manufacturing could be felt if the conflicts drags on, and could mostly hit smaller chipmakers. On a wider IT scale, recent updates include concerns about data security expressed by some European governments in countries – Germany and Italy – that rely on Russia-headquartered Kaspersky’s cyber protection technologies. Moving to EDA companies, Aldec has suspended all sales and distribution transactions in Russia and is offering temporary housing to its Ukrainian personnel at a company’s facility in Poland; and Ansys, that had already suspended all sales transactions and consulting activities in Russia and Belarus, has now announced it will also make a financial contribution to ‘Doctors Without Borders’ in support of Ukrainian refugees. Let’s now move to tech news, this week including some academic research updates.

Intel investments in Europe

The European Union’s initiative to bolster local chip manufacturing (“EU Chip Act”) is starting to bear fruits: Intel has just announced the first phase of its plans to invest 80 billion euros in the European Union over the next decade along the entire semiconductor value chain. The plan includes a 17 billion euros investment for two semiconductor fabs in Magdeburg, Germany, a site that Intel has dubbed ‘Silicon Junction’. More Intel investments are planned in Ireland, Italy, Poland, Spain and France. In this latter country Intel is planning to establish its new European R&D hub, its European headquarters for high performance computing and artificial intelligence design capabilities, and its main European foundry design center.

Read the rest of Intel to invest in Europe; Arm to reportedly cut workforce; SiFive gets more funding; research updates

Wafer-on-Wafer; ExaFlops supercomputers; Arm management change; Canadian battery plants

 
March 10th, 2022 by Roberto Frazzoli

IDC is among the first market research firms trying to provide an initial assessment of how the Ukraine war will affect ICT spending and technology markets worldwide. Consequences are expected on many aspects of the business environment – and will arguably affect the semiconductor ecosystem, too. Hoping for peace, let’s now move to some tech news.

Incubators updates: Analog Devices (Ireland), Infineon (Hong Kong)

Analog Devices will invest €100 million over the next three years in ADI Catalyst, a 100,000 square foot custom-built facility for innovation and collaboration located at its campus in the Raheen Business Park in Limerick, Ireland. This latest phase of expansion will also see the creation of 250 new jobs in the Irish market by 2025. The Catalyst project is supported by the Irish Government through IDA Ireland.

Hong Kong Science and Technology Parks Corporation (HKSTP) has partnered with Infineon Hong Kong in a three-year co-incubation program targeted at microelectronics startups.

Advanced packaging and 3D updates: Apple’s Ultrafusion, Graphcore’s Wafer-on-Wafer

Apple has recently announced M1 Ultra, its new Arm-based SoC that will power the next Mac personal computers. The device uses Apple’s UltraFusion packaging architecture to interconnect the die of two M1 Max chips through a silicon interposer conveying more than 10,000 signals, providing 2.5TB/s of low latency bandwidth. This enables M1 Ultra to behave and be recognized by software as one chip, so developers don’t need to rewrite code. The new SoC consists of 114 billion transistors and features a 20-core CPU, a 64-core GPU, and a 32-core neural engine.

Graphcore has recently unveiled what it claims is the world’s first 3D Wafer-on-Wafer processor – the Bow IPU – built using TSMC’s Wafer-on-Wafer 3D technology. In the new device, two wafers are bonded together to generate a new 3D die: one wafer for AI processing, which is architecturally compatible with the preexisting Graphcore GC200 IPU processor, and a second wafer for power delivery die. By adding deep trench capacitors in the latter die, right next to the processing cores and memory, Graphcore claims to be able to deliver power much more efficiently – enabling a 40% increase in performance. More details have been disclosed in this EETimes article. As the company explained, the two wafers are bonded – metal sides together – without any interstitial bumps, in a sort of cold weld, achieving an extremely high density of interconnect. The device also uses ‘back-side through-silicon vias’ (BTSVs) which allow connection to layers inside the wafer sandwich. Graphcore points out that Wafer-on-Wafer is different from chip-on-wafer technologies, and that aligning two entire wafers is easier rather than two die. This – along with the use of an ion etch process for BTSVs – results in a finer connection pitch.

Graphcore has also announced it will use the next generation of its IPU technology to build an AI supercomputer that will reach over 10 ExaFlops of AI floating point compute. The system – called ‘the Good Computer’ in honor of computer science pioneer Jack Good – is expected to be available by 2024.

Read the rest of Wafer-on-Wafer; ExaFlops supercomputers; Arm management change; Canadian battery plants

Intel’s German fab; chiplet interconnect standard; Qualcomm’s 3nm foundry order; Altair acquires Powersim; impact of Ukraine war

 
March 3rd, 2022 by Roberto Frazzoli

The unrelated themes of war in Ukraine and semiconductor investments crossed each other on TV screens last Tuesday when Intel’s CEO Pat Gelsinger appeared with a Ukrainian flag on his jacket during the State of the Union Address. Gelsinger, whom President Joe Biden gave a shoutout praising Intel’s plan for a mega-fab in Ohio, attended the speech as a guest in the First Lady’s viewing box. Ukraine war is also a topic of our news roundup this week, as we try to monitor its impact on the semiconductor ecosystem and on IT in general. But first, some industry updates.

EDA updates: Breker, Mirabilis, open source FPGA tools

Breker Verification Systems has unveiled SystemUVM, a framework designed to simplify specification model composition for test content synthesis with a UVM/SystemVerilog syntactic and semantic approach familiar to universal verification methodology (UVM) engineers. According to Breker, by leveraging synthesis for test content generation, a 5X improvement for larger components and multi-IP subsystems is common in composition time combined with significant coverage increases.

Mirabilis Design has released its new VisualSim MicroArchitecture Modeler, what it claims is the first solution to enable verification of the micro-architecture of the entire SoC at cycle-per-cycle. According to Mirabilis, processor core vendors do not provide cycle-accurate models for high-end cores. Instead of resorting to expensive emulators or extremely slow RTL execution, VisualSim MicroArchitecture Modeler runs 10000+ instructions per second and still maintain a 85-95% accuracy. The solution employs a library of customizable IP components.

Read the rest of Intel’s German fab; chiplet interconnect standard; Qualcomm’s 3nm foundry order; Altair acquires Powersim; impact of Ukraine war




© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
TechJobsCafe - Technical Jobs and Resumes EDACafe - Electronic Design Automation GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise