Open side-bar Menu
 EDACafe Editorial
Roberto Frazzoli
Roberto Frazzoli
Roberto Frazzoli is a contributing editor to EDACafe. His interests as a technology journalist focus on the semiconductor ecosystem in all its aspects. Roberto started covering electronics in 1987. His weekly contribution to EDACafe started in early 2019.

Synopsys.ai Copilot; Autodesk-Allegro integration; Microsoft’s chips; circumvention of export restrictions

 
November 20th, 2023 by Roberto Frazzoli

According to the latest forecast from International Data Corporation (IDC), the semiconductor market has reached a bottom and will soon return to growth. For 2023, the market research firm has revised its September forecast and now estimates that worldwide semiconductor revenue this year will grow to $526.5 billion – down 12% from $598 billion in 2022, but up from the previously estimated $519 billion. For 2024, IDC expects year-over-year growth of 20.2% to $633 billion, up from $626 billion in the prior forecast. Growth in 2024-2026 will be fueled by AI silicon, which by the end of this forecast period will account for almost $200 billion in semiconductor revenues.

Synopsys.ai Copilot

Synopsys has announced Synopsys.ai Copilot, the result of a collaboration with Microsoft to integrate Azure OpenAI Service that brings GenAI into the design process for semiconductors. According to the company, Synopsys.ai Copilot works alongside designers in the Synopsys tools they use every day, enabling conversational intelligence, in natural language, across the design team. Deployable in any on-prem or on-cloud environment, Synopsys.ai Copilot integrates Microsoft Azure on-demand computing infrastructure.

Autodesk’s Fusion is now integrated with Cadence’s Allegro X and OrCAD X

Autodesk’s Fusion mechanical CAD platform has been integrated with Cadence’s Allegro X and OrCAD X PCB design platforms. The collaboration between the two companies aims at solving the problems of current manual design data methods, which require electrical and mechanical engineers to exchange files that can differ from design intent – resulting in errors, unnecessary re-work and costly delays. According to the two companies, the integrated solution enables seamless bi-directional communication between PCB designers and mechanical engineers.

SafeRTOS on Risc-V

Wittenstein’s SafeRTOS real-time operating system can now be used with the latest Andes’ Risc-V processors, as a result of a partnership between the two companies. The initiative aims at building Risc-V-based safety critical solutions, combining Andes’ ISO 26262 fully compliant Risc-V processor IP with Wittenstein’s real time operating system, which is IEC 61508 SIL3 and ISO 26262 ASIL-D certified.

Is China still obtaining advanced equipment despite export restrictions?

The annual report from the “U.S.-China Economic and Security Review Commission” suggest that Chinese foundries and chipmakers can still buy advanced US and European semiconductor equipment despite export restrictions. According to the report, “Chinese semiconductor fabrication plants are likely still obtaining equipment needed to manufacture chips one or two generations behind the leading edge, beyond the threshold imposed by the controls. When news first broke in 2022 that SMIC had produced a 7 nm processor, many were skeptical of their ability to scale production with good yield. Analysts have increasingly converged on the view that SMIC’s yield is better than skeptics initially held and represents a genuine feat, as evidenced by mass production capacity for SMIC’s Kirin 9000, the 7 nm processor used in the new Huawei Mate Pro. (…) Several analysts also believe recent achievements reflect fundamental flaws in the new restrictions.” The report then quotes Dylan Patel, a semiconductor analyst: “Most deposition, etch, metrology, cleaning, coaters, developers, ion implant, epitaxy, etc. tools for 7nm and even 5nm can also plausibly be used in 28nm.” Therefore, the report continues, “With Bureau of Industry and Security using a 14nm restriction limit, importers are often able to purchase the equipment if they claim it is being used on an older production line, and with limited capacity for end-use inspections it is difficult to verify the equipment is not being used to produce more advanced chips.” The relevant chapter of the report is available here.

Microsoft’s chips

At the recent Microsoft Ignite event, the company unveiled two custom-designed chips and integrated systems targeted to the company’s datacenter infrastructure: the Maia AI Accelerator, optimized for artificial intelligence tasks and generative AI, and the Cobalt CPU, an Arm-based processor tailored to run general purpose compute workloads on the Microsoft Cloud. The chips will start to roll out early next year to Microsoft’s datacenters, initially powering the company’s services such as Microsoft Copilot or Azure OpenAI Service. Microsoft also built from scratch new racks to house the unique requirements of the Maia 100 server boards. These liquid-cooled racks are wider than what typically sits in the company’s datacenters, providing more space for power and networking cables. Both Maia and Cobalt chips are reportedly manufactured by TSMC with a 5-nanometer process. Microsoft is already designing second-generation versions of both chips.

A Microsoft custom-built rack for the Maia 100 AI Accelerator and its liquid cooling system. Credit: John Brecher/Microsoft

Sapeon’s new AI processor

Korean chipmaker Sapeon – a company backed by SK Group – has launched the X330, an AI processor for data centers, claiming four times higher performance than its predecessor X220. The new chip is produced using TSMC’s 7-nanometer technology. The X330 includes a built-in video codec and video post-processing IP; additionally, 4-channel 4K 60fps video input processing is possible through the built-in hardware IP.

Advancements in 3D-printing

Researchers from Swiss institute of technology ETH Zurich have succeeded in 3D-printing a robotic hand with bones, ligaments and tendons made of different polymers, using a new printing technology developed by Inkbit, an MIT spin-​off. Key to the advancement is the capability to 3D-print both fast-​curing and slow-​curing plastics, paving the way to combining soft, elastic, and rigid materials in one go. To accommodate the use of slow-​curing polymers, the new printer is equipped with a 3D laser scanner that checks each printed layer, allowing to compensate surface irregularities instead of scraping them off. Inkbit is planning to use the new technology to offer a 3D printing service to its customers and to sell the new printers. The research paper is available here.

A robotic hand made of rigid and elastic polymers, 3D-printed in one go. Credit: ETH Zurich/Thomas Buchner

Latest supercomputer ranking

The recent 62nd edition of the TOP500 supercomputer ranking reveals that the Frontier system installed at the Oak Ridge National Laboratory (ORNL) in Tennessee, USA retains its top spot and is still the only exascale machine on the list. Second place goes to the new Aurora system installed at the Argonne Leadership Computing Facility, Illinois, USA. The new number 3 is the Eagle system installed by Microsoft in its Azure cloud. This is the highest rank a cloud system has ever achieved on the TOP500.

Acquisitions

Siemens Digital Industries Software has completed the acquisition of Insight EDA, an EDA software company delivering circuit reliability solutions. Insight EDA technology will be added to Siemens’ Calibre PERC product portfolio.

Logged in as . Log out »




© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
TechJobsCafe - Technical Jobs and Resumes EDACafe - Electronic Design Automation GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise