Open side-bar Menu
 EDACafe Editorial
Roberto Frazzoli
Roberto Frazzoli
Roberto Frazzoli is a contributing editor to EDACafe. His interests as a technology journalist focus on the semiconductor ecosystem in all its aspects. Roberto started covering electronics in 1987. His weekly contribution to EDACafe started in early 2019.

A closer look at Vitis, Xilinx unified software platform

 
December 20th, 2019 by Roberto Frazzoli

Last October EDACafe reported about the introduction of Vitis, the new Xilinx unified software platform that enables the development of embedded software and accelerated applications on heterogeneous Xilinx platforms – including FPGAs, SoCs and Versal ACAPs (Adaptive Compute Acceleration Platforms). This week we take a closer look at Vitis with the help of Ramine Roane, Xilinx’s Vice President of Software and AI Product Marketing.

Ramine Roane. Image credit: Xilinx

But first, let’s briefly summarize what this platform is about. Announced on occasion of the Xilinx Development Forum Americas, Vitis allows all developers – including software engineers and AI scientists – to co-develop and optimize hardware and software, using the tools and frameworks they already know and understand, without the need for hardware expertise. As stated in the announcement’s press release, with Vitis developers can leverage integration with high-level frameworks, develop in C, C++, or Python using accelerated libraries, or use RTL-based accelerators and low-level runtime APIs for more fine-grained control over implementation. Vitis is a four-layer stack architecture, with the third layer offering more than 400 optimized and open-source applications across eight libraries: Basic Linear Algebra Subprograms, Solver, Security, Vision, Data Compression, Quantitative Finance, Database, AI. These libraries enable to call pre-accelerated functions using a standard application programming interface (API). At the time of announcement, the fourth layer consisted of Vitis AI, which integrates a domain specific architecture (DSA) that configures Xilinx hardware for frameworks like TensorFlow and Caffe. More DSAs will be released from Xilinx and its ecosystem partners for applications such as video encoding, genome analysis, big data analytics, etc. On November 12th, at the Xilinx Developer Forum Europe, the company announced availability of Vitis and its open source libraries for immediate download, and free of charge. Also available for free download – since December 2nd, as announced on occasion of the Xilinx Developer Forum China – is Vitis AI, the AI inference development platform.

Vitis has raised positive expectations among observers: an example is Kevin Morris’ article published last October on Electronic Engineering Journal. Now that Vitis is available for download, developers will be able to compare it to the preexisting Xilinx environments and assess its real benefits – for example, when it comes to using TensorFlow and Caffe, as far as AI applications are concerned. “Xilinx did have previous development tools aimed at enabling software and AI developers to benefit from our adaptive devices”, Ramine Roane pointed out. “Vitis has taken the best technology from these, added new technology, added new libraries and combined them into an integrated development platform. Vitis supports all Xilinx devices, edge to cloud, enabling a seamless development experience for embedded applications, data center applications and applications that span across both”, he added.

As far as acceleration is concerned, boosting performance is also a matter of balancing benefits and overhead. The Vitis overview document reminds this to the users, with the following statement: “Data transfers between the host and global memory introduce latency, which can be costly to the overall application. To achieve acceleration in a real system, the benefits achieved by the hardware acceleration kernels must outweigh the added latency of the data transfers.” The new platform takes this problem into account and includes the necessary resources, as Roane underlined: “Vitis provides comprehensive system profiling tools which allow developers to quickly prototype the acceleration achieved vs. the latency of data transfer. Additionally, – he continued – highly capable software and hardware emulation allow rapid, ‘software development’ iteration turnaround times for hardware acceleration.”

But obviously acceleration is not the only use case for a unified software platform, and AI is not the only application that can benefit from acceleration. As Roane stressed, “There are actually more use cases. Vitis supports unaccelerated development (both embedded and host CPU). It also supports accelerated embedded and accelerated host CPU development (traditional software, not AI). Finally the Vitis AI tools support AI development from AI frameworks. AI deployments are always part of larger applications, so Vitis manages the integration of the AI and non-AI part of the application.”

The philosophy behind Vitis is enabling all developers – including software engineers and AI scientists – to leverage the Xilinx silicon without the need for hardware expertise. To this goal, libraries are clearly a key component of the Vitis value proposition. “Having comprehensive, accelerated libraries is an extremely important part of Vitis,” Roane confirmed. “The platform unification has enabled more comprehensive set of libraries as there is a single unified tool that the libraries need to work with. This has allowed us to already deploy over 400 library functions in many areas including Computer Vision, Quantitative Finance, Linear Algebra, Database, Security, Data Compression, Image and Video CODECs. We have also deployed over 60 fully optimized AI models and continue to add more AI models and library functions,” he added.

The recent introduction of Intel’s oneAPI can be considered as an indication of a general industry trend towards providing developers with unified software platforms: in Intel’s words, “oneAPI is a single, unified programming model that aims to simplify development across multiple architectures – such as CPUs, GPUs, FPGAs and accelerators”. But Roane stressed that Vitis and oneAPI are focused on different primary use cases: “Vitis is a comprehensive development environment for developing software and AI applications on adaptive devices such as FPGAs and ACAPs (Adaptive Compute Acceleration Platforms), a revolutionary new category of heterogeneous compute devices with capabilities that far exceed those of conventional CPUs, GPUs, and FPGAs,” he said. “ACAP support is important to mention because they contain heterogeneous engines, more than just traditional FPGA fabric. Vitis supports development for all of these engines as well as the ability to dynamically exchange functions within the engines. OneAPI is not directly comparable as its primary use case is not for adaptive compute/FPGAs”, Roane concluded.

Logged in as . Log out »

Verific: SystemVerilog & VHDL Parsers



© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
TechJobsCafe - Technical Jobs and Resumes EDACafe - Electronic Design Automation GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise