Open side-bar Menu
 Video Roundup

Archive for the ‘Silicon Realization’ Category

Realizing End-to-End Mixed-Signal Design

Tuesday, January 17th, 2012

An in-depth technical discussion and demonstration on how the three key elements of Silicon Realization—-intent, abstraction, and convergence—can be applied to mixed-signal challenges and deliver an end-to-end, predictable path to silicon success. Key concepts include analog behavioral modeling, design (power) intent for mixed-signal IP, analog/digital interoperability, and mixed-signal design closure.

Watch Realizing End-to-End Mixed-Signal Design

Presented at CDNLive! 2010 by Dave Desharnais, Product Marketing Group Director, Silicon Realization, Cadence

 

Cadence Silicon Realization Overview

Friday, January 13th, 2012

Productivity and predictability issues are making it crucial for engineers to optimize functional, electrical, and physical specifications concurrently rather than in the typical EDA silos. This close look into Silicon Realization reveals three critical requirements: unified design and verification intent; higher levels of abstraction; and convergence of late-stage design/manufacturing data into the early phases of design.

Watch Cadence Silicon Realization Overview

Presented at CDNLive! 2010 by Chi-Ping Hsu, Ph.D, Senior Vice President, Research and Development, Silicon Realization Group, Cadence




© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
TechJobsCafe - Technical Jobs and Resumes EDACafe - Electronic Design Automation GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise