Critical Updates from Industry Leaders on EUV, 3D Transistors and 450mm Manufacturing Targeted for SEMICON West 2013
[ Back ]   [ More News ]   [ Home ]
Critical Updates from Industry Leaders on EUV, 3D Transistors and 450mm Manufacturing Targeted for SEMICON West 2013

SAN JOSE, Calif., April 23, 2013 --  The critical processes and technologies necessary to continue Moore’s Law are currently more uncertain than ever before in the history of advanced semiconductor manufacturing. To assess these uncertainties and provide the latest information on EUV lithography, 3D transistors, 450mm wafer processing, and other challenges to preserving the pace of Moore’s Law, the leading authorities on these crucial issues will provide their insights, perspectives and predictions at SEMICON West ( www.semiconwest.org), held from July 9-11 in San Francisco, Calif.  Free Registration for SEMICON West 2013 ends on  May 10 — register now: www.semiconwest.org/registration.

Although progress to take EUV lithography into the realm of high-volume manufacturing continues to be made, the readiness of source technologies, mask infrastructure and resist performance are still not known with a high degree of certainty. Until EUV Lithography is ready for high-volume manufacturing, the industry will continue to rely on double-patterning and even multiple-patterning lithography schemes using 193 immersion technology to take it beyond 22nm. How the industry will address these barriers, uncertainties and alternatives will be the focus the lithography session at SEMICON West — including the following speakers and topics:

The mobile market is driving the move to novel transistor architectures that offer greater performance and power benefits than traditional planar architectures. Memory and logic manufacturers are pursuing different strategies including leveraging innovations in design rules, new channel materials and processes (e.g., MOCVD) and inspection and metrology challenges. Speakers and topics on the challenges of nonplanar transistor processing include:

While materials, architecture and processing technologies are undergoing revolutionary change, wafer processing platforms are also being radically transformed with a planned transition to 450mm wafers. For chip manufacturers and suppliers, this will involve increased levels of collaboration, further advancements in tool prototypes, and increased visibility into related supply chain implications.  The SEMICON West 450 Transition Forum will provide the latest updates on the status of 450 R&D, as well as a review of key technology considerations and a discussion of implications and opportunities for the supply chain.

Each of these programs will take place in the TechXPOT conference sessions on the exhibit floor.  Other TechXPOT programs include sessions on 2.5D and 3D IC Packaging, Productivity Innovation at Existing 200mm/300mm Fabs, Silicon Photonics, Lab-to-Fab Solutions, MEMS, LED Manufacturing, and Printed and Flexible Electronics.  SEMICON West will features over 50 hours of free technical, applications and business programs with the critical, need-to-know information presented by industry leaders.  Free registration for SEMICON West 2013 until May 10 — register now: www.semiconwest.org/registration.

About SEMI

SEMI is the global industry association serving the nano- and microelectronics manufacturing supply chains. Our 1,900 member companies are the engine of the future, enabling smarter, faster and more economical products that improve our lives. Since 1970, SEMI has been committed to helping members grow more profitably, create new markets and meet common industry challenges. SEMI maintains offices in Bangalore, Beijing, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C.  For more information, visit  www.semi.org.


Contact:

Deborah Geiger,
SEMI,
Tel.:
408.943.7988
Email Contact