SynaptiCAD releases HDL Simulator Swapper
[ Back ]   [ More News ]   [ Home ]
SynaptiCAD releases HDL Simulator Swapper

September 05, 2012 -- A new command-line tool, SimSwapper, promises to ease the burden of swapping between simulators from different EDA vendors. Simulation regression tests often launch multiple compilation, elaboration, and simulation runs with various vendor-specific command-line options. Even when a company only uses one simulator in-house, it frequently receives IP written to compile with another simulator. SynaptiCAD's SimSwapper automatically translates the command-line options from one simulator vendor to the equivalent options of an alternate EDA vendor, allowing drop-in replacement of the original simulator with the new simulator. In most cases, only a single set of scripts will need to be maintained in order to run simulations against multiple simulation vendors when using SimSwapper.

 

 

SimSwapper also simplifies the complexities associated with the different simulator phases supported by various simulator vendors. For example, Cadence's Incisive simulator supports a three phase simulation process (ncverilog for compilation, ncelab for elaboration, and ncsim for simulate), whereas Mentor Graphic's ModelSim simulator supports a two phase simulation process (vlog for compilation, vsim for elaboration and simulation). Manually editing scripts to account for these differences can be fairly complicated because different vendor tools require many options to be passed in during different phases. SimSwapper memorizes the options to be passed to the original simulator and feeds them forward to the appropriate phase tool in the target simulator's toolchain. SimSwapper generates a log file with warnings about any options passed that aren't supported by the target simulator.

"We originally developed SimSwapper to make it easy for customers with existing simulators to swap to our Verilog simulator, SimExtreme" reports Dan Notestein, SynaptiCAD's president. "But we quickly realized that there were more potential users for SimSwapper: IP vendors who need to support multiple simulators, IP consumers receiving IP written for different simulators, large companies with multiple vendor toolchains, and users who want to cross-check between simulators to detect race conditions and even outright simulator errors. This led us to begin extending its functionality so that SimSwapper would be a true bridge between all the popular simulation environments."

For more information on the Verilog/VHDL simulator swapping technology see the  Simulator Swapper and Command Line Options Translator page.

Pricing and Availability

SimSwapper currently supports Verilog/SystemVerilog simulators including Mentor Graphics' ModelSim, Cadence's Incisive, Synopsys VCS, and SynaptiCAD's SimExtreme simulators, with Aldec ActiveHDL/Riviera support planned for the near future. Support for VHDL simulators is currently being added as well. A one-year license for SimSwapper with SystemVerilog support is regularly priced at $500, but will be available for a promotional price of $350 for a limited time. SimSwapper is available on Windows and Linux platforms.

Marketing Contact

For any questions concerning this press release please contact Donna Mitchell at 540-953-3390 or email at Email Contact. High-resolution images can be downloaded directly from SynaptiCAD's web site at www.syncad.com.